Get Started
Menu

Model-based correction of mask shapes is necessary at today’s leading-edge nodes. Model-based mask-data prep (MB-MDP) and mask process correction (MPC) provide this necessary correction. The need for these technologies grows with each process node, and with the introduction of new manufacturing technologies, such as multi-beam mask writing and extreme-ultra-violet (EUV) lithography.

Since 2017, participants in the eBeam Initiative Mask Maker Survey have reported that MPC is a requirement for processes below 16nm.

eBeam Initiative Survey: MPC Becomes a Requirement Below 16nm

WHAT % OF MASKS BY GROUND RULES HAD MASK PROCESS CORRECTION (MPC) APPLIED? (N=9)
Created with Sketch. 100% 80% 60% 40% 20% 65-45nm 45-32nm 32-22nm 22-16nm 16-11nm 11-7nm <7nm 90-65nm 130-90nm 130nm Ground Rules % of Masks With MPC Applied 0% 0% 0% 1% 0% 4.7% 4.4% 33.7% 39.0% 72.2%
Created with Sketch. 100% 80% 60% 40% 20% 65- 45nm 45- 32nm 32- 22nm 22- 16nm 16- 11nm 11- 7nm <7nm 90- 65nm 130- 90nm 130nm Ground Rules % of Masks With MPC Applied 0% 0% 0% 1% 0% 4.7% 4.4% 33.7% 39.0% 72.2%
SOURCE: eBeam INITIATIVE SURVEY 2017

As wafer manufacturing transitions to EUV, main mask features will need linearity correction. In addition, sub-resolution assist features (SRAFs) soon will approach 30nm, increasing the need for model-based correction. And because 5-nm and 3-nm processes have tighter overlay specifications, the need for precision on the mask will continue to increase whether the wafer is written by 193i or EUV.

Multi-beam mask writing will be in production soon, which will enable curvilinear mask shapes, and allow optical process correction (OPC) and inverse lithography technology (ILT) algorithms to spend substantially less time in Manhattanization. As ILT algorithms continue to improve, critical mask “hotspots” can be addressed with curvilinear mask shapes. But, rule-based MPC is both too slow and not accurate enough for curvilinear shapes, so model-based MPC becomes a requirement.

Whether rule-based or model-based, any MPC is model sensitive. Model generation is labor-intensive, time-consuming and expensive, so producing separate models for multi-beam vs. EUV vs. 193i or for ILT vs. OPC isn’t practical. With so many variables in play, it is essential to have a single mask model that is robust to differences in mask writers and to differences in wafer lithography techniques. D2S TrueModel® is such a mask model.

D2S deploys mask-correction technology using TrueModel® in three different products: TrueMask® MDP with overlapping shots for the variable-shaped beam (VSB) writers; TrueMask® MDP with dose and geometry correction for both VSB and all multi-beam machines; and pixel-level dose correction (PLDC), the inline linearity correction for the NuFlare MBM-1000 multi-beam mask writer.