Menu
Part 1 of a two part article by Leo Pang of D2S
D2S CEO Aki Fjuimura comments on curvilinear masks for advanced EUV as part of this overview of what's needed to extend EUV.
D2S/eBeam Initiative’s Asmus Hetzel talks about key trends at EMLC, including curvilinear design, AI in inspection, and multi-beam mask writers
EUV patterning has come a long way in the past five years, but old challenges resurface with high-NA EUV. Dr. Leo Pang of D2S adds his perspective on advanced lithography and curvilinear masks for EUV.
Experts at the Table: Semiconductor Engineering sat down to discuss the impact of GPU acceleration on mask design and production and other process technologies, with Aki Fujimura, CEO of D2S; Youping Zhang, head of ASML Brion; Yalin Xiong, senior vice president and general manager of the BBP and reticle products division at KLA; and Kostas Adam, vice president of engineering at Synopsys.
Potential cost and time benefits are driving GPU adoption, despite challenges. Experts at the table discussion includes D2S CEO Aki Fujimura; Youping Zhang, head of ASML Brion; Yalin Xiong, senior vice president and general manager of the BBP and reticle products division at KLA; and Kostas Adam, vice president of engineering at Synopsys.