Menu
Agency Contact
David Moreno
Tel: +1‐650‐968‐8900, ext. 125
Email: [email protected]
Press Release • September 11, 2012

D2S, a supplier of computational design platforms, today unveiled TrueMask® MDP—the first and only model‐based mask data preparation (MB‐MDP) solution to offer fully automated, full‐chip mask data preparation for complex photomasks with Manhattanized, curvilinear and ideal inverse lithography technology (ILT) shapes within practical, cost‐effective write‐ times.  Developed to address complex mask designs at 20‐nm‐and‐below process nodes, TrueMask® MDP reduces eBeam shot count to cut mask write time by 20 to 30 percent or more for these mask designs, while improving the quality of the wafer produced through built‐in mask process correction (MPC).

According to Naoya Hayashi, research fellow, Dai Nippon Printing Co., Ltd., “Mask customers are interested in making a balanced trade‐off between wafer quality achievable with complex optical proximity correction (OPC)/inverse lithography technology/source mask optimization and the turnaround time of masks.  Of particular interest are sub‐resolution assist features (SRAFs) and other sub‐80‐nm features that decorate the mask to improve wafer quality.  D2S TrueMask® MDP is the first and the most promising product we’ve collaborated on that uses the new model‐based mask data preparation approach to enable both accuracy and reasonable mask write times.”

At 20‐nm‐and‐below process nodes, both the main features of photomasks and the SRAFs, which help preserve depth of focus (DOF) and critical dimension uniformity (CDU) for the main mask feature they support, but which do not print themselves, need to be increasingly complex in shape in order to ensure optimal patterning.  However, the number of eBeam shots required to create these complex features has caused mask write‐times—and mask costs—to skyrocket.

D2S TrueMask® MDP enables fast and accurate full‐chip modeling of complex mask shapes, including ideal ILT shapes, for both 193‐nm immersion (193i) and EUV masks.  Being simulation‐based,   TrueMask® MDP takes advantage of overlapping variable shaped beam (VSB) shots, each with its own dose modulation, to write the desired mask shape more accurately and with fewer shots.  Built to run on the graphics processing unit (GPU)‐accelerated D2S Computational Design Platform, TrueMask® MDP provides a seamless flow for simulating, preparing and verifying mask writer formats and instructions.

For complex Manhattan shapes, TrueMask® MDP reduces mask write times by 20 to 30 percent or more compared to conventional fracturing.  In addition, the resulting masks have better CD linearity and better CDU, particularly for small shapes, due to the built‐in model‐based MPC.  For ideal ILT (curvilinear) shapes, which are impractical with conventional fracturing, TrueMask® MDP reduces mask write times by more than 30 percent even when compared to Manhattanized versions of the ILT shapes.  In addition, ideal ILT masks written with TrueMask® MDP produce significantly higher quality wafers compared to those produced by the Manhattanized ILT masks written with conventional fracturing solutions.

Embedded in TrueMask® MDP is D2S TrueModel® technology, which includes a proprietary test chip designed to extract overlapping and dose modulation effects, and an automated model calibration engine.  Corner‐rounding models for masks that are bundled into lithography models found in traditional MPC solutions are no longer sufficient to model mask effects.  Unlike these approaches, TrueModel® technology models each mask shape in its own unique full‐chip context—enabling TrueMask® MDP to produce masks of a higher quality

“Existing mask data preparation solutions are no longer sufficient to address the accuracy and write‐ time requirements for producing today’s leading‐edge complex photomasks.  Mask makers need the ability to conduct full‐chip simulation and automated model calibration, which can take into account new mask writing techniques like dose modulation and overlapping shots that are needed to extend lithographic scaling to the 20‐nm node and beyond,” stated Aki Fujimura, CEO of D2S.  “TrueMask® MDP combines these capabilities to enable mask makers to develop higher‐quality masks in less time and at lower cost.  It is the culmination of many years of effort to bridge the divide between design and manufacturing through innovations in eBeam technology.”

TrueMask® MDP is available today for customer engagements.  For more information on   TrueMask® MDP, visit www.design2silicon.com/products_TrueMask®_MDP.

Note to Editors: Additional comments from the semiconductor ecosystem on D2S TrueMask® MDP are available for publication at www.design2silicon.com/docs/quotes_TrueMask®_MDP.pdf.

About D2S, Inc.

D2S is a supplier of a computational design platform to maximize existing eBeam technology to reduce mask costs for both low‐ and high‐volume applications.  D2S TrueMask® solutions enable advanced photomask designs at 20‐nm‐and‐below process nodes using complex shapes for superior wafer quality but within practical, cost‐effective write‐times using existing eBeam mask writing equipment.  D2S is the managing sponsor of the eBeam Initiative.  Headquartered in San Jose, Calif., the company was founded in 2007.  For more information, see: www.design2silicon.com.