Menu
Agency Contact
David Moreno, MCA
Tel: +1-650-968-8900, ext 125
Email: [email protected]
Press Release • February 22, 2011

The eBeam Initiative, a forum dedicated to the education and promotion of a new design‐to‐manufacturing approach known as design for e‐beam (DFEB), today announced that several of its members will present the latest breakthroughs in DFEB mask and direct write technology at the Annual SPIE Advanced Lithography Symposium 2011.  In the area of DFEB mask, for example, the collaborative results demonstrate the effectiveness of DFEB technology in solving the dilemma of impossible mask write times and wafer quality at the 22‐nm node where logic devices will require complex masks in order to achieve acceptable wafer performance and quality.   DFEB mask technology utilizes the breakthrough idea of overlapping e‐beam shots to make cost‐ effective optical lithography for the 22‐nm node possible.  The SPIE Advanced Lithography Symposium will be held February 27‐March 3 at the San Jose Convention Center, San Jose, Calif.

The eBeam Initiative also announced today that four additional companies have joined its ranks.   These new members—Artwork Conversion, Grenon Consulting, NCS and Xilinx—strengthen the ecosystem that is critical to supporting the commercialization of DFEB technology.

Aki Fujimura, CEO of D2S and managing sponsor of the eBeam Initiative, said, “We welcome our newest members and thank eBeam Initiative members who are collaborating to make progress on the DFEB mask and direct write roadmaps.  At SPIE, members are validating the manufacturability of writing complex masks using overlapping e‐beam shots at 22 nm and enhancing throughput of e‐beam direct write machines for maskless lithography.  We’re pleased to see the continued investment in character projection e‐beam machines for cost‐effective, derivative and prototype designs.”

At the SPIE Advanced Lithography Symposium, eBeam Initiative members will present the following papers or posters:

March 1

  • 8:15 a.m., Alternative Lithographic Technologies III Conference, Session 1: Keynote — “A comparison of maskless technologies” presented by Aki Fujimura, CEO of D2S, managing sponsor of the eBeam Initiative
  • 1:50 p.m., Alternative Lithographic Technologies III Conference, Session 3: Maskless Lithography I — “MCC8: Throughput enhancement of EB direct writer” presented by Advantest
  • 2:50 p.m., Alternative Lithographic Technologies III Conference, Session 3: Maskless Lithography I — “Multishaped Beam: Development status and update on lithography results” presented by Vistec Electron Beam GmbH

March 2

  • 5:20 p.m., Alternative Lithographic Technologies III Conference, Session 8: Maskless Lithography II — “Model‐based mask data preparation (MB‐MDP) and impact on resist heating” presented by D2S and NuFlare Technology
  • 6:00‐8:00 p.m., Optical Microlithography XXIV Conference, Poster Session: Mask/Wafer Topography, Layout, and OPC — “Mask data correction methodology in the context of MB‐MDP and advanced mask models” presented by D2S and IC Images Technologies

March 3

  • 11:50 a.m., Alternative Lithographic Technologies III Conference, Session 10: Maskless Lithography III — “Fast characterization of line‐end shortening and application of novel LES correction algorithms in e‐beam direct write” presented by EQUIcon, Fraunhofer‐CNT and Vistec Electron Beam GmbH In addition to these collaborative papers, a new white paper on DFEB mask technology and overlapping e‐beam shots is available on the eBeam Initiative’s website.  For information on eBeam Initiative activities at SPIE 2011, please visit: www.eBeam.org.

 About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding a new design‐to‐manufacturing approach, known as design for e‐beam (DFEB).  DFEB reduces mask costs for semiconductor devices by combining design, design software, manufacturing, manufacturing equipment and manufacturing software expertise.  The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time‐to‐market while increasing the investment in DFEB throughout the semiconductor ecosystem.  Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, Altos Design Automation, AMTC, Artwork Conversion, Cadence Design Systems, CEA/Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, e‐Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, HOYA Corporation, JEOL, KLA‐Tencor, Magma Design Automation, NCS, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC‐ Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, STMicroelectronics, Synopsys, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Xilinx.   Membership is open to all companies and institutions throughout the electronics industry.  To find out more, please visit www.eBeam.org.