Menu
Agency Contact
David Moreno, MCA
Tel: +1-650-968-8900, ext 125
Email: [email protected]
Press Release • February 13, 2012

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced it will unveil its latest roadmap this week at the SPIE Advanced Lithography Symposium being held at the San Jose Convention Center in San Jose, Calif.  The roadmap will identify key milestones to be met by the eBeam Initiative in the coming years to improve mask accuracy and write times, as well as bend the mask cost curve, at the 20‐nm and 14‐nm logic nodes.   The eBeam Initiative will also highlight new ecosystem proof points from Initiative members on the use of eBeam technologies to improve mask critical dimension uniformity (CDU) at these advanced nodes through the use of circular eBeam shots—enabled by model‐based mask data preparation   (MB‐MDP) and the availability of production mask writers.

At and below the 20‐nm logic node, mask assist features as well as some main mask features are typically smaller than 80 nm in width, which makes mask accuracy and wafer yield increasingly difficult to maintain.  As a result, CDU on the mask has become a much more critical issue.  Innovative eBeam technologies have been introduced—such as mask process correction (MPC), overlapping variable‐ shaped beam (VSB) shots, circular shots, MB‐MDP, dose modulation and mask‐wafer double simulation—to overcome these challenges and improve mask accuracy as well as reduce mask write times.  The eBeam Initiative and its members have played a vital role in guiding and facilitating the ecosystem in supporting the introduction of these critical eBeam technologies.

JEOL, a member of the eBeam Initiative and a manufacturer of eBeam lithography equipment, announced in 2010 its intent to support eBeam circular shots and is now accepting customer orders—representing a major milestone in the Initiative’s ongoing effort to enable improved mask CDU.  “Whether the semiconductor industry continues to push the limits of optical lithography for the foreseeable future or migrates to EUV lithography, improving mask accuracy will be essential to achieving high wafer quality,” said Wataru Wakamiya, corporate officer and general manager of SE Business Unit, JEOL.  “eBeam technology will continue to play a critical role in making that happen, and JEOL is ready today to accept customer orders for machines that write circular shots for improved mask accuracy and shot count.”

During 2012 and beyond, Initiative members will collaborate on several proof points demonstrating the progress and readiness of eBeam technology.  At the SPIE Advanced Lithography Symposium, one of the highlighted proof points in the eBeam Initiative roadmap will be the use of MB‐MDP to improve CD uniformity by using overlapping circular shots.  A white paper commissioned by the eBeam Initiative, titled “MB‐MDP Enables Circular Shots to Improve Mask Accuracy As Well As Shot Count,” will be made available after Tuesday, Feb. 14 on the eBeam Initiative website at www.eBeam.org.

According to Aki Fujimura, CEO of D2S, Inc., managing company sponsor of the eBeam Initiative, “Two of the greatest challenges for mask making starting at the 28‐nm node and continuing down to the 20‐nm node and beyond are accuracy and shot count.  Mask makers must continually balance the need for accurate masks that result in high wafer yield with the long write‐times and high costs of producing the complex masks that provide the needed accuracy.  Thanks to close collaboration among eBeam Initiative members, we’ve demonstrated that advances in eBeam technologies such as overlapping shots, and overlapping circular shots in particular, can not only reduce shot count, but also produce more accurate masks by improving CD uniformity—enabling improvements at both ends of the shot count/accuracy equation.”

eBeam Initiative Member Updates at SPIE

In related news, the eBeam Initiative welcomes Aselta Nanographics to its membership.   Headquartered in Grenoble, France, Aselta provides data preparation and proximity effect correction solutions for eBeam technologies to improve mask CDU and reduce writing time by using concurrent dose modulation and geometry optimization.

In addition, eBeam Initiative members continue to make progress on eBeam direct‐write lithography.   A collaboration between eBeam Initiative members CEA‐Leti and Tela Innovations on hybrid lithography using optical and eBeam will be presented at the SPIE Advanced Lithography Symposium and available after Tuesday, Feb. 14 at www.eBeam.org.

About The eBeam Initiative

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies.  The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time‐to‐market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem.  Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA‐Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, e‐Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, HOYA Corporation, IMS CHIPS, JEOL, KLA‐Tencor, Magma Design Automation, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC‐Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, SoftJin Technologies, STMicroelectronics, Synopsys, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Xilinx.  Membership is open to all companies and institutions throughout the electronics industry.  To find out more, please visit www.eBeam.org.