Menu
Page 4
Tuesday, October 26, 2021

Aki Fujimura, CEO of D2S, interviews Naoya Hayashi of DNP on his impressions of the SPIE Photomask Technology conference

Friday, October 1, 2021

SPIE Photomask Technology 2021: A General Formula for Deep Learning Success in Semiconductor Manufacturing

Tuesday, September 28, 2021

eBeam Initiative Virtual Event during the 2021 Photomask Technology Conference, Sept. 28, 2021 Panelists are Tom Cecil (Synopsys), Chris Progler (Photronics) and Mikael Wahlsten (Mycronic), moderated by Aki Fujimura (D2S). To view only the discussion on the 2021 Luminaries survey results, mask revenues, EUV masks, mask writers, DL, or curvilinear masks, go to the homepage of eBeam.org

Tuesday, June 22, 2021

Leo Pang of D2S recaps in Chinese a joint paper with Micron Technology on enabling faster VSB writing of 193i curvilinear ILT masks. Also in English.

Tuesday, June 22, 2021

Aki Fujimura of D2S interviews Vivek Singh about his new role as VP, Advanced Technology Group at NVIDIA

Monday, March 22, 2021

Aki Fujimura of D2S interviews Harry Levinson of HJL Lithography on his takeaways from the SPIE 2021 Advanced Lithography Conference. Leo Pang of D2S offers his perspective in Chinese.