Menu
Page 5
Thursday, November 19, 2020

Aki Fujimura, CEO of D2S, talks about joining the ESD Alliance

Monday, October 19, 2020

Leo Pang presents the results of a joint paper with Micron Technology on how to enable faster VSB writing of 193i curvilinear ILT masks

Monday, October 19, 2020

Aki Fujimura highlights results of the sixth annual eBeam Initiative Mask Makers survey

Monday, October 19, 2020

Leo Pang, chief product officer of D2S, offers his impressions of the SPIE Photomask Technology + EUV Lithography Conference in Chinese

Tuesday, September 22, 2020

Aki Fujimura, CEO of D2S, moderates the eBeam Initiative panel on mask survey results at SPIE Photomask Technology Conference 2020

Tuesday, June 2, 2020

Leo Pang, Chief Product Officer of D2S, reviews a unique GPU-accelerated approach to curvilinear inverse lithography technology (ILT) and introduces mask-wafer co-optimization (MWCO).