Menu
Page 7
Wednesday, June 5, 2019

eBeam Initiative: In this Tech Talk, presented at Photomask Japan, D2S modeling expert Ryan Pearman examines the effects of curvilinear mask data on wafer variability and explains why curvilinear features are needed for EUV lithography.

Wednesday, June 5, 2019

eBeam Initiative: Shot Talk Aki Fujimura and Leo Pang of D2S recap the launch of the D2S TrueMask® DLK deep learning kit and “hot topics” from the Photomask Japan Conference.

Wednesday, March 27, 2019

Leo Pang, chief product officer of D2S, describes how GPU-accelerated simulation can enable deep learning for both mask and wafer manufacturing

Wednesday, March 27, 2019

Aki Fujimura, CEO of D2S, provides his takeaways from SPIE-AL and an update on the Center for Deep Learning in Electronics Manufacturing (CDLe)

Wednesday, October 17, 2018

eBeam Initiative: Shot Talk catches up on the 2018 Photomask Technology Conference with Aki Fujimura and Leo Pang

Wednesday, June 6, 2018

eBeam Initiative: Deep Learning interview with Steve Teig, Xperi, by Aki Fujimura, D2S